Spisu treści:

Projekt UART w VHDL: 5 kroków
Projekt UART w VHDL: 5 kroków

Wideo: Projekt UART w VHDL: 5 kroków

Wideo: Projekt UART w VHDL: 5 kroków
Wideo: FPGA Tutorial 3. UART in VHDL on Altera DE1 Board 2024, Lipiec
Anonim
Projekt UART w VHDL
Projekt UART w VHDL

UART to skrót od Universal Asynchronous Receiver Transmitter. Jest to najpopularniejszy i najprostszy protokół komunikacji szeregowej. W tej instrukcji dowiesz się, jak zaprojektować moduł UART w VHDL.

Krok 1: Co to jest UART?

Aby komunikować się z różnymi urządzeniami peryferyjnymi, procesory lub kontrolery zwykle wykorzystują komunikację UART. Jest to prosta i szybka komunikacja szeregowa. Ponieważ UART jest minimalnym wymaganiem w prawie wszystkich procesorach, są one zwykle projektowane jako rdzenie Soft IP w VHDL lub Verilog w celu ponownego użycia i łatwości integracji.

Krok 2: Specyfikacje

Specyfikacje projektowanego UARTa podane są poniżej:

* Standardowe sygnały UART.

* Konfigurowalna szybkość transmisji od 600-115200.

* Próbkowanie = 8x @odbiorca

* Sprawdzona konstrukcja FPGA - na płycie Xilinx Artix 7.

* Testowany na urządzeniach peryferyjnych UART, Hyperterminal pomyślnie - wszystkie szybkości transmisji

Krok 3: Podejście projektowe

  1. Będziemy projektować 3 moduły, które później zintegrujemy, aby skompletować UART.

    • Moduł nadajnika: dba o szeregową transmisję danych
    • Moduł odbiornika: dba o odbiór danych szeregowych
    • Moduł generatora bodów: Dba o generowanie zegara w bodach.
  2. Moduł generatora bodów jest dynamicznie konfigurowalny. Generuje dwa zegary bodów z zegara głównego, zgodnie z pożądaną prędkością. Jeden dla nadajnika, drugi dla odbiornika.
  3. Moduł odbiornika wykorzystuje częstotliwość próbkowania 8x, aby zminimalizować prawdopodobieństwo błędu w odbiorze, tj. zegar bodów odbiornika jest zegarem bodów 8x nadajnika.
  4. Sygnały sterujące do sterowania nadawaniem i odbiorem, a także sygnał przerwania.
  5. Standardowy interfejs szeregowy UART bez bitu parzystości, jeden bit stopu i startu, 8 bitów danych.
  6. Interfejs równoległy do komunikacji z hostem, tj. procesor lub kontroler, który dostarcza i odbiera dane równoległe do i z UART.

Krok 4: Wyniki symulacji

Wyniki symulacji
Wyniki symulacji

Krok 5: Załączone pliki

* Moduł nadajnika UART - plik vhd

* Moduł odbiornika UART - plik vhd

* Moduł generatora bodów - plik vhd

* Moduł UART - Główny top moduł integrujący powyższe moduły - plik vhd

* Pełna dokumentacja UART IP Core - pdf

W przypadku jakichkolwiek pytań zapraszam do kontaktu:

Mitu Raj

śledź mnie:

W przypadku pytań prosimy o kontakt: [email protected]

Zalecana: